Low power area efficient self-gated flip flop: Design, implementation and analysis in emerging devices

Main Article Content

Owais Ahmad Shah
Geeta Nijhawan
Imran Ahmed Khan

Abstract

This study presents a novel CMOS self-gated flip flop for low power and area efficient applications. The low power operations are achieved by deactivating the clock signal when not required in the circuit. The study explores the proposed design in emerging devices like Carbon Nanotube Field Effect Transistor (CNTFET), Graphene Nano-Ribbon FET (GNRFET) and FinFET devices. The simulations are carried out at 16nm technology node in HSPICE with nominal conditions of 0.9 V supply voltage, 25% data activity at temperature of 25 °C and frequency of 400 MHz. Results obtained shows that the proposed design consumed the least power with a reduction of minimum 53.68% and maximum 81.39% at variations in voltages ranging from 0.9 V to 1.2 V. The overall power delay product (PDP) at nominal conditions is reduced by minimum 61.45% and maximum 80.81%. The proposed flip flop is also an area efficient design having least number of transistors and minimum sum of width with average reduced area of 29.55%.  The proposed design, when implemented and simulated with emerging devices, showed significant improvements in power consumptions and speed of operations. The simulations confirmed that the FinFET counterpart of the proposed design is most power efficient device whereas GNRFET the least. But the GNRFET is the fastest of all the devices followed by CNTFET at second and FinFET as third fastest whereas CMOS is the slowest among all these devices.

Article Details

How to Cite
Shah, O. A., Nijhawan, G., & Khan, I. A. (2022). Low power area efficient self-gated flip flop: Design, implementation and analysis in emerging devices. Engineering and Applied Science Research, 49(6), 744–752. Retrieved from https://ph01.tci-thaijo.org/index.php/easr/article/view/248964
Section
ORIGINAL RESEARCH

References

Berzins M, Wells C, inventors. Samsung Electronics, assignee. Low power toggle latch-based flip-flop including integrated clock gating logic. US Patent 20150200652A1. 2015 Jul 16.

Mo X, Wu J, Wary N, Carusone TC. Design methodologies for low-jitter CMOS clock distribution. IEEE Open J Solid-State Circuits Soc. 2021;1:94-103.

Chou CH, Yeh HH, Huang SH, Nieh YT, Chang SC, Chang YT. Skew minimization with low power for wide-voltage-range multipower-mode designs. IEEE Trans Very Large Scale Integr (VLSI) Syst. 2016;24(3):1189-92.

Shah OA, Ahmed Khan I, Nijhawan G, Garg I. Low transistor count storage elements and their performance comparison. International Conference on Advances in Computing, Communication Control and Networking (ICACCCN); 2018 Oct 12-13; Greater Noida, India. New York: IEEE; 2018. p. 801-5.

Dominic Jawahar JJ, Mysore Shivananda Murthy S, Vettuvanam Somasundaram KB. Self‐gated resonant‐clocked flip‐flop optimised for power efficiency and signal integrity. IET Circuits, Devices Syst. 2016;10(2):94-103.

Sitik C, Lerner S, Taskin B. Timing characterization of clock buffers for clock tree synthesis. 2014 IEEE 32nd International Conference on Computer Design (ICCD); 2014 Oct 19-22; Seoul, Korea (South). New York: IEEE; 2014. p. 230-6.

Eudes T, Ravelo B. Analysis of multi-gigabits signal integrity through clock H-tree. Int J Circuit Theory Appl. 2012;41(5):535-49.

Scotti G, Trifiletti A, Palumbo G. A novel 0.5 V MCML D-flip-flop topology exploiting forward body bias threshold lowering. IEEE Trans Circuits Syst II: Express Br. 2020;67(3):560-4.

Yamada K, Maruoka H, Furuta J, Kobayashi K. Radiation-hardened flip-flops with low-delay overhead using pMOS pass-transistors to suppress set pulses in a 65-nm FDSOI process. IEEE Trans Nucl Sci. 2018;65(8):1814-22.

Murugasami R, Ragupathy US. Design and comparative analysis of D-flip-flop using conditional pass transistor logic for high-performance with low-power systems. Microprocess Microsyst. 2019;68:92-101.

Lee Y, Shin G, Lee Y. A fully static true-single-phase-clocked dual-edge-triggered flip-flop for near-threshold voltage operation in IOT applications. IEEE Access. 2020;8:40232-45.

Islam R. Low-power highly reliable set-induced dual-node upset-hardened latch and flip-flop. Can J Electr Comput Eng. 2019;42(2):93-101.

Karimi A, Rezai A, Hajhashemkhani MM. Ultra-low power pulse-triggered CNTFET-based flip-flop. IEEE Trans Nanotechnol. 2019;18:756-61.

Khan IA, Beg MT. Power efficient design of semi-dynamic master-slave single-edge triggered flip-flop. Int J Electr Eng Inform. 2019;11(2):252-62.

Pan D, Ma C, Cheng L, Min H. A highly efficient conditional feedthrough pulsed flip-flop for high-speed applications. IEEE Trans Very Large Scale Integr (VLSI) Syst. 2020;28(1):243-51.

Ali K, Li F, Lua SY, Heng CH. Energy- and area-efficient spin-orbit torque nonvolatile flip-flop for power gating architecture. IEEE Trans Very Large Scale Integr (VLSI) Syst. 2018;26(4):630-8.

Kim CY, Lee HC. Low-power, high-sensitivity readout integrated circuit with clock-gating, double-edge-triggered flip-flop for mid-wavelength infrared focal-plane arrays. IEEE Sens Lett. 2019;3(9):1-4.

Jiang H, Zhang H, Chatterjee I, Kauppila JS, Bhuva BL, Massengill LW. Power-aware SE analysis of different FF designs at the 14-/16-nm bulk FinFET CMOS technology node. IEEE Trans Nucl Sci. 2018;65(8):1866-71.

Karimi A, Rezai A, Hajhashemkhani MM. A novel design for ultra-low power pulse-triggered D-flip-flop with optimized leakage power. Integration. 2018;60:160-6.

Strollo AGM, Napoli E, De Caro D. Low-power flip-flops with reliable clock gating. Microelectron J. 2001;32(1):21-8.

Nafziger SD, inventor. Advanced Micro Devices Inc., assignee. Low power flip flop through partially gated slave clock. US Patent OO7772906B2. 2009 Oct 15.

Vickers DS, Shyvers PJ, inventors. Self-gating pulsed flip-flop. US Patent 010333500B1. 2019 Jun 25.

Rasouli SH, Chen X, Boynapalli V, inventors. Semi-data gated flop with low clock power / low internal power with minimal area overhead. US Patent 009979381B1. 2018 May 3.

Moghaddam M, Moaiyeri MH, Eshghi M. Design and evaluation of an efficient Schmitt trigger-based hardened latch in CNTFET technology. IEEE Trans Device Mater Reliab. 2017;17(1):267-77.

Karimi A, Rezai A. A design methodology to optimize the device performance in CNTFET. ECS J Solid State Sci Technol. 2017;6(8):M97-102.

Karimi A, Rezai A. Improved device performance in CNTFET using genetic algorithm. ECS J Solid State Sci Technol. 2016;6(1):M9-12.

Bhoj AN, Jha NK. Design of logic gates and flip-flops in high-performance FinFET technology. IEEE Trans Very Large Scale Integr (VLSI) Syst. 2013;21(11):1975-88.

Cao J, Xu L, Bhuva BL, Fung R, Wen SJ, Cazzaniga C, et al. SE Response of guard-gate FF in 16-nm and 7-nm bulk FinFET technologies. IEEE Trans Nucl Sci. 2020;67(7):1436-42.

Gholipour M, Masoumi N, Chen YYC, Chen D, Pourfath M. Asymmetric gate Schottky-barrier graphene nanoribbon FETs for low-power design. IEEE Trans Electron Devices. 2014;61(12):4000-6.

Gholipour M, Chen YY, Sangai A, Masoumi N, Chen D. Analytical SPICE-compatible model of Schottky-barrier-type GNRFETs with performance analysis. IEEE Trans Very Large Scale Integr (VLSI) Syst. 2016;24(2):650-63.

Wessely PJ, Wessely F, Birinci E, Riedinger B, Schwalke U. Transfer-free grown bilayer graphene transistors for digital applications. Solid State Electron. 2013;81:86-90.